Show simple item record

dc.contributor.advisorAnant Agarwal
dc.contributor.authorPsota, Jamesen_US
dc.contributor.authorAgarwal, Ananten_US
dc.contributor.authorMiller, Jasonen_US
dc.contributor.authorBeckmann, Nathanen_US
dc.contributor.authorKurian, Georgeen_US
dc.contributor.otherComputer Architectureen
dc.date.accessioned2010-02-12T07:15:12Z
dc.date.available2010-02-12T07:15:12Z
dc.date.issued2010-02-11
dc.identifier.urihttp://hdl.handle.net/1721.1/51734
dc.description.abstractEver since industry has turned to parallelism instead of frequency scaling to improve processor performance, multicore processors have continued to scale to larger and larger numbers of cores. Some believe that multicores will have 1000 cores or more by the middle of the next decade. However, their promise of increased performance will only be reached if their inherent scaling challenges are overcome. One such major scaling challenge is the viability of efficient cache coherence with large numbers of cores. Meanwhile, recent advances in nanophotonic device manufacturing are making CMOS-integrated optics a realityâ interconnect technology which can provide significantly more bandwidth at lower power than conventional electrical analogs. The contributions of this paper are two-fold. (1) It presents ATAC, a new manycore architecture that augments an electrical mesh network with an optical network that performs highly efficient broadcasts. (2) It introduces ACKwise, a novel directory-based cache coherence protocol that provides high performance and scalability on any large-scale manycore interconnection net- work with broadcast capability. Performance evaluation studies using analytical models show that (i) a 1024-core ATAC chip using ACKwise achieves a speedup of 3.9Ã compared to a similarly-sized pure electrical mesh manycore with a conventional limited directory protocol; (ii) the ATAC chip with ACKwise achieves a speedup of 1.35Ã compared to the electrical mesh chip with ACKwise; and (iii) a pure electrical mesh chip with ACKwise achieves a speedup of 2.9Ã over the same chip using a conventional limited directory protocol.en_US
dc.format.extent4 p.en_US
dc.relation.ispartofseriesMIT-CSAIL-TR-2010-009en_US
dc.titleEfficient Cache Coherence on Manycore Optical Networksen_US


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record