Show simple item record

dc.contributor.authorHenry, Hoffmanen_US
dc.contributor.authorStrumpen, Volkeren_US
dc.contributor.authorAgarwal, Ananten_US
dc.date.accessioned2023-03-29T14:43:07Z
dc.date.available2023-03-29T14:43:07Z
dc.date.issued2003-03
dc.identifier.urihttps://hdl.handle.net/1721.1/149323
dc.description.abstractWire-exposed, programmable microarchitectures including Trips [11]], Smart Memories [8], and Raw [13] offer an opportunity to schedule instruction execution and data movement explicitly. This paper proposes stream algorithms, which, along with a decoupled systolic architecture, provide an excellent match for the physical and technological constraints of single-chip tiles architectures. Stream algorithms enable programmed systolic computations for different problem sizes, without incurring the cost of memory accesses. To that end, we decouple memory accesses from computation and move the memory accesses off the critical path. By structuring computations in systolic phases, and deferring memory accesses to dedicated memory processors, stream algorithms can solve many regular problems with varying sizes on a constant-sized tiled array. Contrary to common sense, the compute efficiency of stream algorithms increases as we increase the number of processing elements. In particular, we show that the compute efficiency of stream algorithms can approach 100% asymptotically, that is for large numbers of processors and appropriate problem size.en_US
dc.relation.ispartofseriesMIT-LCS-TM-636
dc.titleStream Algorithms and Architectureen_US


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record