Show simple item record

dc.contributor.authorChong, Frederic T.en_US
dc.contributor.authorBarua, Rajeeven_US
dc.contributor.authorDahlgren, Fredriken_US
dc.contributor.authorKubiatowicz, John D.en_US
dc.contributor.authorAgarwal, Ananten_US
dc.date.accessioned2023-03-29T14:40:24Z
dc.date.available2023-03-29T14:40:24Z
dc.identifier.urihttps://hdl.handle.net/1721.1/149269
dc.description.abstractThe goal of this paper is to gain insight into the relative performance of communication mechanisms as bisection bandwidth and network latency vary. We compare shared memory with and without prefetching, message passing with interrupts and with polling, and bulk transfer via DMA. We present two sets of experiments involing four irregular applications on the MIT Alewife multiprocessor. First, we introduce I/O cross-traffic to vary bisection bandwidth. Second, we change processor clock speeds to vary relative network latency. We establish a framework from which to understand a range of results. On Alewife, shared memory provides good performance, even on producer-consumer applications with little data-reuse. On machines with lower bisection bandwidth and higher network latency, however, message-passing mechanisms become important. In particular, the high communication volume of shared memory threatens to become difficult to support on future machines without expensive, high-dimensional networks. Furthermore, the round-trip nature of shared memory may not be able to tolerate the latencies of future networks.en_US
dc.relation.ispartofseriesMIT-LCS-TM-562
dc.titleThe Sensitivity of Communication Mechanisms to Bandwidth and Latencyen_US


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record